$vcdplusmemon();//vcd
$fsdbDumpMDA();//vcs
使用场景
/*fsdb*/
initial begin
$fsdbDumpfile("verilog.fsdb");
// $fsdbDumpfile("interface.fsdb");
$fsdbDumpvars(0);
$vcdpluson;
$vcdplusmemon();
$fsdbDumpMDA(0);//sim_top.u_happy_digital_top.AFE_DSP_DATA);//存储所有的memeory值
$display("fsdbDumpfilrs is start at %d",$time);
// #1e7;
// $finish;
end
案例具体操作
module tb_dut;
/*
绿皮书第二章,eg2.11打印一个多维数组。
*/
initial begin
byte two_data[4][6];
foreach(two_data[i,j])begin
two_data[i][j] = i*10+j;
end
#1ns;
$display("(@%d)time",$time);
foreach(two_data[i])begin
$write("%2d:",i);
foreach(two_data[,j])begin
$write("%3d:",two_data[i][j]);
end
$display("");
end
end
endmodule
仿真结果
verdi中的波形
如何查看二维数组中的数组方法二: