system verilog verdi vcd查看二维数组

文章介绍了如何在Verilog仿真中使用VCD和FSDB工具来记录和查看内存值,特别是二维数组的内容。通过示例代码展示了如何使用$vcdplusmemon()和$fsdbDumpMDA()函数来存储内存数据,并在Verdi中观察波形。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

$vcdplusmemon();//vcd
$fsdbDumpMDA();//vcs
使用场景

    /*fsdb*/
    initial begin
        $fsdbDumpfile("verilog.fsdb");
        // $fsdbDumpfile("interface.fsdb");
        $fsdbDumpvars(0);
        $vcdpluson;
        $vcdplusmemon();
        $fsdbDumpMDA(0);//sim_top.u_happy_digital_top.AFE_DSP_DATA);//存储所有的memeory值
        $display("fsdbDumpfilrs is start at %d",$time);
        // #1e7;
        // $finish;
    end

案例具体操作

module tb_dut;
/*
绿皮书第二章,eg2.11打印一个多维数组。
*/
initial begin
byte two_data[4][6];
foreach(two_data[i,j])begin
    two_data[i][j] = i*10+j;
end

#1ns;
$display("(@%d)time",$time);
foreach(two_data[i])begin
    $write("%2d:",i);
    foreach(two_data[,j])begin
        $write("%3d:",two_data[i][j]);
    end
    $display("");
end

end

endmodule

仿真结果
在这里插入图片描述
verdi中的波形
在这里插入图片描述
如何查看二维数组中的数组方法二:
在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值