modelsim 居然 error loading design?

经过一个学期的eda课程的学习,我终于把modelsim卸载了五次。


相信优不少的同学在使用modelsim时有遇到过这样的问题,明明每一个设计文件都编译通过,但是还是出现这样一句话:

error loading design。

然后。。。。。就没有然后了,没有任何提示。


这时候,你可能需要做以下的事:

1.检查文件是否未被包含且未加入工程。

2.检查设计文件的端口声明与实例化时的端口是否一致。

3.检查设计文件的模块名是否与实例化时的模块名一致。

4.检查未在顶层文件中修改的端口是否为wire(被这个坑了两周)。

5.去请教老师或大佬吧。



处女贴,请多多包涵。

皇叔于2018.6.19 17:06 

评论 22
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值