Modelsim中使用tcl执行仿真

1、Modelsim命令执行的过程
(1)更改路径
(2)执行提前写好的do文件。
在这里插入图片描述
(3)效果
在这里插入图片描述
2、do文件的格式
在这里插入图片描述
注释如下:
在这里插入图片描述

在这里插入代码片(1)建库:vlib library    //建库:vlib 库名(自定义)
(2)映射:vmap library library   //映射: vmap 库名 库名
(3)编译设计文件:
vlog -reportprogress 300 -work library E:/modelsm_use/time_value_1/time_value.v    //编译:中间的 -work是固定的 library是自定义的库名 后面是文件的路径,看清斜杠方向
编译仿真文件:
vlog -reportprogress 300 -work library E:/modelsm_use/time_value_1/time_value_tst.v
(4)仿真:vsim -voptargs=+acc library.time_value_tst           //仿真:library.time_value_tst 的格式是库名.仿真文件名     -voptargs=+acc是固定不变
(5)添加整个仿真文件的波形:
add wave -position insertpoint sim:/time_value_tst/*   
 //添加波形 *表示为整个仿真文件里的信号都添加进去
(6)添加一个信号的波形:
add wave -position insertpoint sim:/time_value_tst/clk //表示添加一个信号clk的波形
(7)运行:
run -all //运行
(8)退出仿真命令:
quit -sim

3、学习来源
https://www.cnblogs.com/hfyfpga/p/4277870.html
4、总结
初步学习,比较简陋。
小结执行顺序:(1)vlib建库(2)vmap映射(3)vlog编译(4)vsim仿真(5)add增加波形(6)run运行

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IC媛

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值