Modelsim中的TCL使用

Modelsim中的TCL使用

这篇文章是很久之前学习Modelsim时候找到的一个文档,在win平台上使用tcl脚本仿真流程很精简,适合初学入手,在此记录。

执行tcl

do ./work/run.tcl

编译

vlog

vlog testbench.v

vlog -work work testbench.v

启动仿真

vsim

vsim work.testbench

vsim -work work testbench

将信号加入波形

add wave *

view wave

执行仿真

run

run 1000

run -all // run to finish

run // 默认 run 100ns

退出仿真

quit -sim

TCL模板

###################     ModelSim TCL     ########################
###################  Created by Zhiwei.Liu   #######################
 
##### Create the Project/Lib #####
# vlib work
# map the library
# vmap work work
 
##### Compile the verilog #####
vlog testbench.v
 
##### Start Simulation #####
vsim work.testbench
add wave -binary clk rst
add wave -unsigned random c_count
run 990
 
##### Quit the Simulation #####
# quit –sim

为方便工程管理,建了三个文件夹来存放工程。

sim:存放批处理文件,modelsimdo文件和存相对路径的文件

src:存放源代码

data:产生仿真的数据

批处理文件

下面开始写批处理文件与do文件。

  1. 新建文件,改名为sim.bat,在这个文件里输入代码:vsim -do sim.do

  2. 新建文件,改名为path.f,在这个文件中输入要仿真的源代码的相对路径:

    ../src/test.v
    ../src/tb.v
    
  3. 新建sim.do文件,tcl脚本文件,用于控制modelsim仿真,输入代码如下:其中带号为注释部分:

  #建立工作库并映射工作库
  vlib work
  vmap work work
 
  #编译verilog源代码
  vlog -f path.f
  #编译systemc代码
  vccom -f path.f
  #连接systemc代码
  vccom -link
 
  #生成波形文件wlf
  vsim -wlf test.wlf tb
 
  #添加信号波形
  add wave *
 
  #开始仿真
  run 200 ms
 
  #结束仿真
  quit -sim

操作流程

直接进入sim文件夹下运行sim.bat文件即可按照上述代码流程控制modelsim

下面就结合实例简要说明操作步骤:

  1. 编写好源文件,包括camera.v和它的Testbench文件camera_tb.v`;

  2. 编写.do文件camera_tb.do,内容如下:

    # Create the work library
     vlib work
     vmap work work
    
    # Compile the verilog files
     vlog -work work camera.v
     vlog -work work camera_tb.v
    
    # Run simulation
     vsim -lib work camera_tb
     view wave
     add wave sim:/camera_tb/*
     run 55ms
    

可以根据案例,做一个适合自己的批处理文件,不如,也可以不写filelist.f 文件,直接在do文件中添加编译,对源文件进行模糊匹配等。

快速创建仿真,从编译,启动,执行到保存波形一气呵成,这样处理可以节省很多时间。

推荐阅读

推荐阅读关于Modelsim仿真工具的相关文章:

Modelsim原理图窗口——Schematic window;

Modelsim设置默认窗口排版;

史上最全Modelsim键盘快捷键和鼠标操作——官网文档翻译;

学习交流,如有分歧,欢迎评论区讨论~~

  • 5
    点赞
  • 39
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ShareWow丶

前人栽树,分享知识,传播快乐。

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值