DC 视频教程 第二课

本文是DC视频教程的第二课,主要讲解如何载入设计和工艺技术数据,包括Verilog文件、物理技术数据及设置文件的使用。重点介绍了设置文件的执行顺序、target_library和link_library的差异、search_path的设定,以及read_verilog和analyze&elaborate命令在读入RTL级代码时的作用。此外,还强调了综合前保存ddc文件的重要性,并给出了实例演示。
摘要由CSDN通过智能技术生成

第二课

Design and technology data

1.载入RTL设计和逻辑库(即Verilog文件和db文件)
2.载入physical technology和design data
包括milkway derectories, tf file, rc modeling files, floorplan data.

需要对一些协议和端口很熟悉,例如PCIE协议、AMPA协议(?)、AMBA总线协议、DMA、CPU等。
甚至比验证人员和后端设计人员要更加了解。

  • 本节课需要掌握的命令
    在这里插入图片描述
设置文件
  • .synopsys_dc.setup
set_app_var target_library  your_library.db
set_app_var link_library {* your_library.db}
set_app_var symbol_library your_library.sdb
set_app_var search_path   " .<Install_dir>/libraries/syn ..."

DC一旦启动,三个目录下面拥有此名字的文件会按顺序执行,分别是:

  1. $SYNOPSYS/admin/setup(default)
  2. ~user (user’s general)
  3. DC star
  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值