DC 视频教程 第四课

本教程聚焦于DC中的定时约束,包括setup_timing、时钟约束、输入输出延迟和组合路径约束。讲解了如何定义时序电路路径的约束,如clock period、clock skew、input delay和output delay,并介绍了虚拟时钟的概念以及时间预算的设定。通过实例展示了如何在DC中进行时序分析和约束管理。
摘要由CSDN通过智能技术生成

第四课

Timing constraints

最后需要完成DC能够读入的tcl脚本

setup_timing

目标:定义时序电路中所有路径的时序约束,约束分为三个部分:
1.input logic paths
2.internal paths
3.output paths
约束具体取决于芯片的spec,工艺等。

DC默认的外部环境是由同步时钟驱动的:1.输入信号由posedge驱动的器件产生,2.输出信号将输出至posedge驱动的器件。

  • timing path
    综合过程中软件会把电路分为一个一个path(路径),每个路径都有start point和end point。
    Start point:Input port或者Clock pin of flip-flop or register
    End point:output port或者any input pin of a sequential device, except clock pin
Timing Constraints
  • Clock
    clock period:时钟的周期约束。默认情况下单位是ns,50%占空比,并且会设置成理想的时钟:
create_clock -period 2 [get_ports clk]

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值