Verilog可综合FSM的实现

简介:
用Verilog实现一个具有4个状态的有限状态机。
状态转移图如下:
在这里插入图片描述
代码实现:

/*----------------------------------------
Filename: fsm.v
Function: 具有4个状态的有限状态机(可综合)
Author: Zhang Kaizhou
Date: 2019-10-8 21:48:35
-----------------------------------------*/
module fsm(y3, y2, y1, state, clk, reset, a);
	//定义输入输出端口
	output y3, y2, y1;
	output [3 : 0] state;
	input clk, reset, a;
	
	//定义状态寄存器
	reg y3, y2, y1;
	reg [3 : 0] state;
	
	//状态编码
	//parameter idle = 2'b00, start = 2'b01, stop = 2'b10, clear = 2'b11; //格雷码
	parameter idle = 4'b1000, start = 4'b0100, stop = 4'b0010, clear = 4'b0001; //独热码(推荐)
	
	//状态转移
	always@(posedge clk)
	begin
		if(!reset)
		begin
			state <= idle;
			y3 = 0; y2 = 0; y1 = 0;
		end
		else
		begin
			case(state
  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值