verilog学习笔记:关键字 数据类型 向量

这篇博客介绍了Verilog编程中的数据类型,包括wire和reg,以及它们作为向量的使用。还提到了整数类型integer和实数类型real。此外,详细阐述了二维数组的表示方法,如regb[4:0][5:0]和wire型的一维数组。博客内容对理解Verilog中的变量声明和数组操作具有指导意义。
摘要由CSDN通过智能技术生成

1、关键字和标识符

reg [3:0] a;

关键字:reg
标识符:a

2、数据类型

常用的是wirereg型,其他的都是这两种的延伸

向量:当位宽超过1,wire或者reg可以表现为向量的形式

wire [3:0];
reg [4:0];

整数类型 integer

integer b;

实数 用real表示

real temp;

数组

reg b[4:0][5:0];//1bit的二维数组

wire [4:0]  c[3:0];//4个5bit的wire型数组
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值