Verilog逻辑取反与按位取反

Verilog中逻辑取反(取非)用 !,按位取反用~。
取非 ! 表示运算结果只有0(假)与1(真)两种情况;
取反~表示二进制数按位取反。

例如对in=1101分别进行逻辑取反与按位取反:

assign	out = ! in;//逻辑取反,in不为0,所以out=0
assign	out = ~ in;//按位取反,out=0010
  • 3
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值