第7节 国产安路FPGA工程仿真方法介绍

        本节主要讲述国产安路FPGA工程使用Modelsim软件的仿真方法,这种方法是当前使用最多,最受欢迎的方法,安路公司官方也推荐该方法。Modelsim软件功能比较强大,目前主要通过运行tcl脚本的形式来进行工程仿真。这种形式已经很常见了,当前的xilinx和intel fpga已经使用这种方法进行工程仿真了,本工程使用的modelsim 10.6版本。

        一、PLL的IP核创建和例化

        搭建仿真工程的方法还是基于呼吸灯的工程上添加pll的ip,这样可以让读者了解IP核的添加和例化方法,也可以了解带IP核的工程仿真方法。

        打开呼吸灯的实例工程,在该工程上添加PLL的IP核,鼠标点击Tool->IP Generator:

在弹出的对话框点击OK:

填写IP核名称,选择IP文件保存的位置,其他保持默认,点击OK按钮:

双击PLL选项:

设置输入时钟频率,读者可以根据自己的实验环境自行设置,我这里的实验环境是25MHz频率时钟输入,其他选项可以保持默认,点击下一步:

点击下一步:

'

保持默认,继续点击下一步:

点击OK按钮:

添加PLL的顶层.v文件到工程中:

在IP Files选项中,双击sys_pll.v

将sys_pll.v的模块名和端口例化到顶层文件中。

在顶层模块例化,如下所示:

二、编译IP仿真库文件

以 PH1A 器件为例, TD 软件自带有仿真模型,并可在 modelsim 进行编译,步骤如下:
1:在 modelsim 的安装目录下,新建文件夹,如: anlogic

2:启动 modelsim,选择 file → change directory 将路径转到 anlogic 文件夹下

3:在 modelsim 的 file → new → library 下新建名为 PH1 的库

4:打开 compile → compile,弹出 compile souce files 对话框, library 中选择刚建立的 eg4d,查找范围选择 src 下的
所有文件,勾选 compile selected files together, 执行编译命令

5:TD 路径下的 FPGA 器件仿真库文件具体路径如下

单击一个文件, 安装 ctrl+a 全选,之后单击 Compile:

编译成功后单击 Done

三、仿真工程搭建

在工程目录中新建sim文件夹和tb文件夹:

在tb文件夹中新建breath_led_tb.v

输入如下激励代码:

在sim文件夹创建cmd.do,compile.f,wave.do

cmd.do是编译仿真库和启动仿真器功能,

compile.f是指定要编译的源文件,

wave.do是指定启动modelsim之后要添加的波形文件。

cmd.do编辑内容如下:

wave.do编辑内容如下:

compile.f文件编辑内容如下:

打开modelsim,输入命令cd 到sim所在的文件夹:

输入ls命令可以查看文件夹下面有哪些文件(此步骤可以省略)

输入do cmd.do命令运行modelsim

启动仿真器如下所示:

        四、总结

        本节主要讲述安路官方IP核创建和例化方法,搭建安路FPGA工程仿真平台,关于modelsim的安装方法在本专题就不再详细讲述了,感兴趣的读者可以通过其他方式进行学习了解。modelsim10.6的安装包我提供给大家,可以自行下载。本节实验的仿真工程也提供下载链接感兴趣的读者可以自行下载。下一小节主要讲述按键消抖应用实例控制原理讲解。

modelsim 10.6安装包百度云链接:

链接:https://pan.baidu.com/s/1KSiutdDgJaCPGFBjMyX3Cg
提取码:39c5

本节实验工程百度云下载链接:

链接:https://pan.baidu.com/s/1OXmfDQGiNis_cStLWREIMQ
提取码:ff8h
 

  • 7
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

wave&fpga

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值