牛客网Verilog刷题——VL34

牛客网Verilog刷题——VL34

题目

  实现数据位宽转换电路,实现8bit数据输入转换为16bit数据输出。其中,先到的8bit数据应置于输出16bit的高8位。
  电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。

在这里插入图片描述

  接口时序示意图如下。

在这里插入图片描述

  输入输出描述:

信号类型输入/输出位宽描述
clkwireIntput1系统时钟信号
rst_nwireIntput1异步复位信号,低电平有效
valid_inwireIntput1输入数据有效
data_inwireIntput8输入8bit数据
valid_outregOutput1输出数据有效
data_outregOutput16输出16比特数据

答案

`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);
reg	cnt;
always @(posedge clk or negedge rst_n)
	if(!rst_n)
		cnt <= 1'b0;
	else if(valid_in)
		if(cnt==1'b1)
			cnt <= 1'b0;
		else
			cnt <= cnt + 1'b1;
	else
		cnt <= cnt;
		
wire	s_valid_out;
assign s_valid_out = (valid_in&&cnt==1'b1);
always @(posedge clk or negedge rst_n)
	if(!rst_n)
		valid_out <= 1'b0;
	else
		valid_out <= s_valid_out;

reg	[15:0]	sr_data_out;
always @(posedge clk or negedge rst_n)
	if(!rst_n)
		sr_data_out <= 'd0;
	else if(valid_in)
		sr_data_out <= {sr_data_out,data_in};
	else
		sr_data_out <= sr_data_out;

always @(posedge clk or negedge rst_n)
	if(!rst_n)
		data_out <= 'd0;
	else if(s_valid_out)
		data_out <= {sr_data_out,data_in};
	else
		data_out <= data_out;
		
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值