牛客网Verilog刷题——VL56

牛客网Verilog刷题——VL56

题目

  实现4bit无符号数流水线乘法器设计。电路的接口如下图所示:

在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireInput1系统时钟信号
rst_nwireInput1异步复位信号,低电平有效
mul_awireInputsize乘数
mul_bwireInputsize被乘数
mul_outwireOutput2*size输出乘法结果

答案

`timescale 1ns/1ns

module multi_pipe#(
	parameter size = 4
)(
	input 						clk 		,   
	input 						rst_n		,
	input	[size-1:0]			mul_a		,
	input	[size-1:0]			mul_b		,
 
 	output	reg	[size*2-1:0]	mul_out		
);

//无符号流水线乘法器:每个时钟都更新输入的乘数和被乘数,在一个或者多个时钟后连续输出乘法器乘法结果

wire	[7:0]	temp	[size-1:0]; //逐个比特乘法
reg		[7:0]	adder01,adder23;  //加法器

genvar i;
//逐个比特乘法
generate
	for(i=0;i<size;i=i+1) begin:temp_block
		assign temp[i] = mul_b[i] ? mul_a<<i : 'd0; //用移位寄存器
		//assign temp[i] = mul_b[i] ? {{(size-i){1'b0}},mul_a,{(i){1'b0}}} : 'd0; //用拼接符
	end
endgenerate

//加法器
always @(posedge clk or negedge rst_n) begin
	if(!rst_n) begin
		adder01 <= 'd0;
		adder23 <= 'd0;
		mul_out <= 'd0;
	end
	else begin
		adder01 <= temp[0] + temp[1];
		adder23 <= temp[2] + temp[3];
		mul_out <= adder01 + adder23;
	end
end

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值