HDLbits 刷题 --Conditional

本文介绍了Verilog中的三元条件运算符在选择逻辑中的应用,包括单线性选择器、状态机和最小值电路示例。通过实例演示如何用条件运算符实现A-to-1多路复用器和四路最小值电路的组合。
摘要由CSDN通过智能技术生成

学习:

        

Verilog has a ternary conditional operator ( ? : ) much like C:

(condition ? if_true : if_false)

This can be used to choose one of two values based on condition (a mux!) on one line, without using an if-then inside a combinational always block.

Examples:

(0 ? 3 : 5)     // This is 5 because the condition is false.
(sel ? b : a)   // A 2-to-1 multiplexer between a and b selected by sel.

always @(posedge clk)         // A T-flip-flop.
  q <= toggle ? ~q : q;

always @(*)                   // State transition logic for a one-input FSM
  case (state)
    A: next = w ? B : A;
    B: next = w ? A : B;
  endcase

assign out = ena ? q : 1'bz;  // A tri-state buffer

((sel[1:0] == 2'h0) ? a :     // A 3-to-1 mux
 (sel[1:0] == 2'h1) ? b :
                      c )

练习:

        Given four unsigned numbers, find the minimum. Unsigned numbers can be compared with standard comparison operators (a < b). Use the conditional operator to make two-way min circuits, then compose a few of them to create a 4-way min circuit. You'll probably want some wire vectors for the intermediate results.

译:

        给定四个无符号数字,找出其中的最小值。无符号数字可以使用标准比较运算符进行比较(a < b)。使用条件运算符来制作双向最小值电路,然后组合几个这样的电路来创建一个四路最小值电路。你可能需要一些线矢量来存储中间结果。

module top_module (
    input [7:0] a, b, c, d,
    output [7:0] min);//
    wire [7:0]buf1,buf2,buf3;
     assign buf1 = (a>b)?b:a;
     assign buf2 = (c>d)?d:c;
     assign buf3 = (buf1 > buf2)?buf2:buf1;
     assign min = buf3;

endmodule

运行结果:

        

  • 6
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

刚及格的陆拾伍

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值