软件使用错误(警告)记录(持续更新)

软件使用错误(警告)记录


 本博客用以记录在软件使用过程中所遇到的错误和关键性的警告,以及这些警告和错误的解决方法,方便日后查看以及能为其他遇到同样问题的人提供一个可能的解决方法。需要注意的是,此处记录的方法是根据本人遇到的问题记录的,所以在解决自己遇到的问题的时候需根据实际情况修改, 解决方式一不一定正确。本文会持续更新,欢迎遇到同样问题的朋友一起交流或是提供解决办法。
注: 时间长了可能内容有点多,可以在此界面使用快捷键 Ctrl+F搜索关键词。

一、Vivado

1 [IP_Flow 19-3153] Bus Interface ‘clk’: ASSOCIATED_BUSIF bus parameter is missing.
错误原因:自定义IP核的时候,clk这个信号没有 ASSOCIATED_BUSIF 这个属性
解决办法:添加对应属性
参考:链接
在这里插入图片描述

2.[IP_Flow 19-3157] Bus Interface ‘rst_n’: Bus parameter POLARITY is ACTIVE_LOW but port ‘rst_n’ is not *resetn - please double check the POLARITY setting.
错误(警告)原因:信号 rst_n 设置为低有效,但是没有连接到 aresetn 。这是由于IP核打包器在设计中推断出了时钟端口或是复位端口,端口名字应该为resetn/aresetn类似,但我的命名为rst_n
解决办法:,在.v文件里(源文件)修改端口名字为aresetn即可。

3.mig IP核出现 device_temp_i[11:0]
错误(警告)原因:严格来说不算错误,mig IP核在使用的使用没有使能XDC
解决办法:使能XDC就好了
参考:Xilinx官网

4.[BD 41-1356] Slave segment </mig_7series_0/memmap/memaddr> is not assigned into address space </sirv_gnrl_icb2axi_0/o_axi>. Please use Address Editor to either assign or exclude it.
错误(警告)原因:在使用AXI接口的时候分配
解决办法:在Address Editor给使用到的AXI接口分配地址空间
在这里插入图片描述

5.[Runs 36-527] DCP does not exist: c:/Users/NightVoyager/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-18748-DESKTOP-GU4F0FG/coregen/design_1_mig_7series_0_2/design_1_mig_7series_0_2.dcp

一加这个信号就报错
愚蠢的错误,probe2没有连接。。。。
在这里插入图片描述

6.[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets TestV1_i/e203_0/inst/IOBUF_jtag_TCK/O] >
TestV1_i/e203_0/inst/IOBUF_jtag_TCK/IBUF (IBUF.O) is locked to IOB_X0Y240
and TestV1_i/e203_0/inst/dut_io_pads_jtag_TCK_i_ival_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31

解决方法:按照提示在约束文件里面添加相应约束即可。
在这里插入图片描述

7.[Vivado 12-4739] create_clock:No valid object(s) found for ‘-objects [get_ports CLK50MHZ]’. [“C:/Users/NightVoyager/Desktop/e203ex/fpga/ddr200t/obj/ip/BDTESTV1/TestV1/TestV1.xdc”:9]
警告描述:提示没有找到 CLK50MHZ 这个管脚。但是在顶层文件里面确实声明了这个管脚,名字一样(顶层文件输入到TESTV1,TESTV1是用BD生成的,这个时钟用于输入到MMCP产生两路低速时钟)
解决办法:尝试将net同时修改为不同的名字
结果:成功解决

(奇葩的BUG)
将原来的
input CLK50MHz; //(顶层文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports CLK50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports CLK50MHz] //(约束文件)
改为
input clk_50MHz; //(顶层文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports clk_50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports clk_50MHz]

8.Cannot debug net ‘DDR3_addr[0]’; it is not accessible from the fabric routing.
错误(警告)描述:在给DDR输出管脚设置成(mark debug)(使用ILA抓取DDR的输出管脚的波形)的时候报错,提示不可以设置成debug管脚。
解决办法:暂未找到

二、ModelSim

1 Iteration limit reached at time 660 ns
问题描述:在660ns的时候,超出了迭代限制(5000次),问题出在在做浮点加法器的仿真的时候,考虑不周全,当出现0+0的情况是,状态一直锁定在MOVE
解决办法:检查修改代码,若是代码没问题可以增加迭代次数在做尝试。
出问题的代码:
在这里插入图片描述
修改 Interation Limit的值。
在这里插入图片描述

三、WSL

1.WSL2启动时提示:参考的对象类型不支持尝试的操作
问题描述:在安装WSL然后其自动安装Ubuntu的时候,在创建用户要求输入用户名时报错 参考的对象类型不支持的操作
问题原因:某些加速器,VPN的socket端口与WSL的端口有冲突导致
解决方法:参考博客参考的对象类型不支持尝试的操作

  • 3
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
根据引用[1]和引用,软件测试需求文档模板应该包括以下内容: 1.引言:介绍软件测试需求文档的目的、范围、定义、缩略语和缩写、参考文献等。 2.测试策略:描述测试的方法、技术、工具、环境、资源、进度、风险等。 3.测试计划:描述测试的计划、任务、时间、人员、质量、标准、评估等。 4.测试用例:描述测试的场景、输入、输出、预期结果、实际结果、状态、优先级等。 5.测试数据:描述测试的数据、格式、来源、准确性、完整性、保密性等。 6.测试环境:描述测试的硬件、软件、网络、配置、安装、升级等。 7.测试报告:描述测试的结果、问题、缺陷、建议、改进、总结等。 8.附录:包括测试的相关文档、图表、截图、日志、记录等。 以下是一个简单的软件测试需求文档模板: ```markdown # 软件测试需求文档模板 ## 引言 本文档描述了软件测试的需求规格,包括测试策略、测试计划、测试用例、测试数据、测试环境和测试报告等。 ## 测试策略 测试方法:黑盒测试、白盒测试、灰盒测试 测试技术:手工测试、自动化测试、性能测试、安全测试 测试工具:JUnit、Selenium、JMeter、Burp Suite 测试环境:Windows、Linux、MacOS、Android、iOS 测试资源:人员、时间、设备、网络、数据 测试进度:计划、任务、里程碑、风险 ## 测试计划 测试目标:功能测试、兼容性测试、可靠性测试、易用性测试 测试任务:测试用例设计、测试数据准备、测试环境配置、测试执行评估 测试时间:开始时间、结束时间、持续时间、优先级 测试人员:测试经理、测试工程师、开发人员、用户代表 测试质量:标准、评估、改进、证明 ## 测试用例 测试场景:登录、注册、搜索、购买、支付 测试输入:用户名、密码、关键字、商品、金额 测试输出:页面、信息、日志、报告、邮件 预期结果:成功、失败、异常、超时、中断 实际结果:一致、不一致、错误警告、提示 测试状态:未执行、已执行、通过、失败、阻塞 测试优先级:高、中、低、紧急、延迟 ## 测试数据 测试数据:正常数据、边界数据、异常数据、随机数据 测试格式:文本、数字、日期、图片、视频 测试来源:手工输入、自动生成、外部导入、内部生成 测试准确性:正确、错误、缺失、重复、冲突 测试完整性:全面、不全、重要、次要、无关 测试保密性:公开、保密、加密、解密、销毁 ## 测试环境 测试硬件:PC、手机、平板、服务器、设备 测试软件:操作系统、浏览器、应用程序、数据库、中间件 测试网络:局域网、广域网、无线网、云服务、安全性 测试配置:安装、升级、配置、备份、恢复 测试安全:认证、授权、加密、防护、审计 ## 测试报告 测试结果:通过、失败、阻塞、未执行、跳过 测试问题:缺陷、错误、建议、改进、需求 测试缺陷:严重性、优先级、状态、责任、解决 测试建议:优化、增强、扩展、修复、重构 测试改进:流程、方法、工具、环境、人员 测试总结:经验、教训、收获、展望、感谢 ## 附录 测试文档:需求规格、设计文档、用户手册、API文档 测试图表:流程图、时序图、状态图、类图、用例图 测试截图:界面截图、日志截图、错误截图、性能截图 测试记录:测试计划、测试用例、测试报告、测试日志 ```
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值