1.出现opt_design、power opt错误或者place_design报错,在进行RUN implementation的时候
解决办法:1.在implementation中,选择setting,修改opt_design、power opt design 以及后面所有的 is_enabled. 2. 不使用gui上的编译图标,采用TCL脚本语言进行编译布局布线。编译脚本如下
#编译综合
launch_runs synth_1
opt_design
#优化,后面可以加 -sweep,去删除未连接的实例
phys_opt_design
place_design
route_design
launch_runs impl_1 -to_step write_bitstream