Vivado里最常用的5个Tcl命令

本文介绍了Vivado中五个关键的Tcl命令:get_cells, get_nets, get_ports, get_pins, 和 get_clocks。这些命令用于查找和过滤设计中的特定元素,如细胞、网络、端口、引脚和时钟。通过使用过滤器选项如'-hier'和'-of_object',可以深入层次结构并获取与特定对象相关的元素。例如,利用-get_ports -filter可以找到未分配管脚的端口或满足其他条件的总线。同时,文章还提到了如何利用这些命令检查IO bank类型、确定模块名称和识别时序逻辑元件。" 17187553,972265,Java路径获取与属性访问方法总结,"['Java基础', '反射', '类操作']
摘要由CSDN通过智能技术生成

vivado中常用的五个命令:

  • get_cells
  • get_nets
  • get_ports
  • get_pins
  • get_clocks
  • 各自的含义如下图:
    在这里插入图片描述

在这里插入图片描述

  • filter:过滤器

  • -hier:按层次寻找

  • -of_object:隶属于/相连接,下图的连线表示可以使用 -of [get_nets/pins/cells/ports/clocks]相互找到,图中的example使用这一系列命令找到了方向为输出、与wbDataForinput_IBUF_inst的pin相连的所有cell
    在这里插入图片描述

  • 一些 -of 的使用实例:
    在这里插入图片描述

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
vivadoTCL命令是用于执行脚本和进行各种操作的命令集合。可以使用"source"命令来执行TCL脚本,例如执行名为<file_name>.tcl的脚本,可以使用以下命令:source <path_to_file>/<file_name>.tcl 。 另外,可以使用Tcl命令"get_cells"来指定一个特定的单元,并使用"get_pins -of"命令来获取该单元的引脚 。 这些TCL命令的详细用法和参数可以参考《Vivado Design Suite Tcl Command Reference Guide (UG835)》 。 总结起来,vivadoTCL命令可以用于执行脚本、指定单元并获取引脚,具体的用法和参数可以参考TCL命令参考指南。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Vivado常用TCL命令汇总](https://blog.csdn.net/HackEle/article/details/130143892)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [【 FPGA 】Vivado常用的5个Tcl命令](https://blog.csdn.net/Reborn_Lee/article/details/84977359)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值