7_如何使用接口进行采样和数据驱动(时钟块)

1. 竞争问题

1.1 设计中的竞争

在这里插入图片描述

  • 组合逻辑电路中,同一信号经不同的路径传输后,到达电路中某一会合点的时间有先有后,这种现象称为逻辑竞争,而因此产生输出干扰脉冲的现象称为冒险。
  • SV也可以用于做设计。此处a被综合成寄存器,这里是阻塞赋值,b立即跟随a变化,b被综合为wire。不会有一排的延时。

1.2 仿真验证中的竞争

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
*

  • 在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
  • clk1同时驱动clk2和d1,后面两者在clk1拉高后的一个delta-cycle后变化。
    在这里插入图片描述
  • 在设计中,可能会引入时钟门控、时钟分频,这种基于一个时钟产生另一个时钟的设计会在仿真中插入delta-cycle。

2. 接口的clocking

在这里插入图片描述
在这里插入图片描述

  • 第二行模拟了实际电路中的建立保持时间。
  • 在clk1上升沿之前的一个step进行采样是最短的可靠时间。
    在这里插入图片描述
  • #0等效于无穷多个delta-cycle
    在这里插入图片描述
    在这里插入图片描述
  • C
    在这里插入图片描述
  • bit二值逻辑默认为0
  • @ck <=> @(posedge clk)
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述

3. 结论

在这里插入图片描述

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
在SystemVerilog中,interface是一种用于在硬件模和软件类之间进行交互的媒介。它可以在端口列表中定义时钟、复位等公共信号,或者在变量列表中定义需要与设计单元(DUT)和测试台(TB)连接的逻辑变量。通过参数化方式,interface可以提高复用性,使得有对应interface的DUT和TB在实例化时只需传递匹配的interface变量名即可完成接口变量的传递。接口可以用于设计和验证,它使得连接变得简洁且不易出错。与模类似,interface可以定义端口、双相信号,使用initial和always,以及定义function和task。初学者可以将interface看作是一个"插排",用于实现DUT和TB之间的数据驱动关系。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [SV 接口(interface)](https://blog.csdn.net/weixin_45680021/article/details/125753127)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [SV学习(3)——接口interface、modport、时钟clocking](https://blog.csdn.net/Bunny9__/article/details/122605991)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v92^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Arist9612

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值