Verilog HDL实现2~255可配置计数器

Verilog HDL实现2~255可配置计数器

源代码:
module counter_n(clk,din,rst_n,dout,count);
  input clk,rst_n;
  input[7:0]din;
  output dout;
  output[7:0]count;
  reg dout;
  reg[7:0]count;
  always@(posedge clk)
  begin
    dout<=1'b0;
    if(!rst_n)
    count<=8'b0;
    else if(count==din)
    begin count<=8'b0;dout<=1'b1; end
    else count<=count+1'b1;
  end
endmodule
测试代码
`timescale 1ns/1ns
module counter_n_tb;
  reg clk,rst_n;
  reg[7:0]din;
  wire dout;
  wire[7:0]count;
  counter_n u1(clk,din,rst_n,dout,count);
  always#10 clk=~clk;
  initial
  begin
    clk=1'b0;rst_n=1'b0;
  #10 din=8'b0000_0011;//模4计数器
  #20 rst_n=1'b1;
  #80 din=8'b0000_1000;//模9计数器
  end
endmodule
  

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值