SystemVerilog——过程语句和子程序

本文介绍了SystemVerilog中的过程语句,包括任务、函数、void函数的使用,详细阐述了子程序参数、返回机制及局部数据存储。重点讨论了如何在函数中返回数组,并解析了自动存储、变量初始化以及时间值处理的相关概念。
摘要由CSDN通过智能技术生成


SystemVerilog增加了许多改进使得它更接近C语言。

过程语句

SystemVerilog从C和C++中引入了很多操作符和语句。可以在for循环中定义
循环变量,它的作用范围仅限于循环内部,从而有助于避免一些代码漏洞。自动递增符“++”和自动递减符“–”既可以作为前缀,也可以作为后缀。如果在begin或fork语句中使用标识符,那么在相对应的end或join语句中可以放置相同的标号,这使得程序块的首尾匹配更加容易。也可以把标识符放在SystemVerilog的其他结束语句里,例如endmodule. endtask. endfunction以及其他语句。

initial
	begin:example
	integer array[10],sum,j;
	
    //在for语句中声明i
	for (int i=0;i<10;i++ )//i递增
		array[i]=i;

    //把数组里的元素相加
    sum= array[9];
	j=8;
	do
	// do...while 循环
		sum+=array[i];
	//累加
	while (j--);
	//判断j=0是否成立
        $display("sum = %4d",sum);//指定宽度
    end:example
end

SystemVerilog为循环功能增加

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

取个名字真难啊啊

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值