systemverilog(三)过程语句与子程序

过程语句
systemverilog可以在for循环中定义循环变量,它的作用范围仅限于循环内部,从而避免一些代码漏洞。自动递增符”++“和自动递减符”–“既可以作为前缀,也可以作为后缀;如果在begin或fork语句中使用标识符,那么在相应的end或join语句中可以放置相同的标号。也可以把标号符放在sv的其他结束语句,例如endmodule、endtask、endfunction

initial
	begin:example
	integer array[10],sum,j;
	for(int i=0;i<10;i++)
	array[i]=i;
	sum=array[9];
	do
	sum+=array[j];
	while(j--!=0);
	$display("sum=%d\n",sum);
	end:example

systemverilog,第一个continue,用于在循环中跳过本轮循环而直接进行下一轮循环;第二个是break,用于终止并跳出循环。

任务、函数以及void函数
任务(task)和函数(function)区别最重要的一点,任务可以消耗时间而函数不能。函数里面不能带有诸如#100的时延语句或诸如@(posedge clock、wait(ready)的阻塞语句,也不能调用任务;而且函数必须有返回值,并且返回值必须被使用,例如用到赋值语句中。
(如果你有一个不消耗时间的systemverilog任务,应该把它定义成void函数,这样被任何任务或函数所调用了)

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值