【雪天鱼】(2) PYNQ_Z2从Vivado到SDK开发流程

Date: 2023/3/23
Author: 雪天鱼

一、Vivado中手动添加Pynq-Z2板卡文件

在xilinx官网下载pynq板的文件:https://pynq.readthedocs.io/en/v2.3/overlay_design_methodology/board_settings.html
进入后在vivado board files中选择板的型号:

这里选择Pynq-Z2板卡。这里发现官网链接已经404了,从其他地方找了板卡文件。
解压板卡文件pynq-z2,并拷贝到C:\jccao\apps\Xilinx\Vivado\2019.2\data\boards\board_files文件夹下:

重启Vivado,选择器件,在Boards页面可看到Pynq-Z2器件,点击选择即可。

如果是ubuntu中的Vivado,可能新版本没有board_files文件夹, 但只要在borads文件夹下面自己创建一个borad_files文件夹,把板卡文件放进去重开vivado就行了

二、Block Design

2.1 新建工程

输入工程名,next:

这里不需要添加源文件,勾选,Do not specify sources at this time。再点击next。

选择板卡Board-》【PYNQ_Z2】。next

enter description here

然后点击finish。

2.2 Block design

点击 create block design.。输入名字test_led。点击OK。

三、SDK开发

3.1 Create Platform Project

file -》export -> export hardware。然后需要勾选 include bistream,点击OK。

生成的.xsa文件位于工程目录下。
这里我使用的 Vivado版本为2019.2,从此版本开始,导出的硬件描述文件为.xsa文件,给vitis平台使用,Vitis是Xilinx SDK的继承开发工具。
若下载的是Vivado 2019.2及后续版本中,硬件描述文件不再通过SDK启用,需通过Tools -->Launch Vitis 启用

enter description here

选择工作目录后,点击 Lanch。
点击Create Platform Project创建工程

输入工程名字,长度需在3-40个字符之间

指定刚刚生成的 .xsa文件,点击finish

enter description here

3.2 Create application project

File —> New ---->Application Project

输入工程名

选择刚创建的platform:

这里选择开发语言为C:

选择 Hello World 作为模板,点击Finish。

enter description here

接下来编译工程, 选中 APP 工程,右键“Build Project” 或点击图中“锤子” 按键,进行工程编译。

工程编译结束后, 成功生成 elf 文件。至此,硬件和软件设计均已完成。

四、下载验证

首先我们将microusb数据线与Pynq开发板上的 接口连接,数据线另外一端与电脑连接。
在菜单栏中依次点击“Window->Show view->Terminal 文件夹->Terminal”, 最后点击“Open”,接口成功添加 Terminal 窗口。

点击图标, 进行串口设置界面:

enter description here

选择串口“Serial Terminal” , 设置的参数需要与硬件设计过程中配置的 axi_uartlite_0 保持一致,即波特率为 “115200” ,数据位为 8 位,停止位为 1 位。 点击“OK” 后,如下图所示,证明串口连接成功。

enter description here

需要注意的是,在设置串口端口(Port)时,在下拉列表中可能会看到多个可选端口。我们需要选择Pynq开发板所连接的端口,具体的端口号可在计算机设备管理器中查看。在应用工程 hello_world 上右击,选择“Run As”,然后选择最后一项“Run Confagurations…” ,

enter description here

在 Run Configuration 页面点击“Single Application Debug(GDB) -> Debugger_app1-GDB”(没有就新建一个), 点击菜单栏“Target Setup”。其中,“HardwarePlatform”为硬件平台, Bitstream File 为加载的 bit 流文件。 勾选“Reset entire system”(系统复位)和“ProgramFPGA”(下载 FPGA)然后点击“Run”开始下载程序,如下图所示。

enter description here

  • 5
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 5
    评论
要使用PYNQ-Z2开发板上的Vivado流水灯示例,你需要按照以下步骤进行操作: 1. 首先,请确保你已按照引用中的说明,正确设置PYNQ-Z2开发板的引导跳线和供电方式,并将装有PYNQ-Z2镜像的Micro SD卡插入插槽中。 2. 连接PYNQ开发板的PROG UART(J14)接口到电脑,以供电和串口通信。 3. 使用网线将PYNQ开发板连接到路由器或电脑,以便后续操作。 4. 将开关拨到ON,启动PYNQ开发板。等待大约一分钟,直到两个蓝色LED和四个黄绿色LED同时闪烁,然后蓝色LED关闭,黄绿色LED亮起,表示系统已启动完毕。 5. 确保你的电脑上已安装了Vivado软件,并打开它。 6. 在Vivado中,创建一个新的项目,并添加需要的IP。 7. 将IP连接到ZYNQ核心,然后进行编译。 8. 编译成功后,生成一个bit文件和一个tcl文件。 9. 将这两个文件复制到PYNQ的目录下。 10. 现在,你可以在PYNQ的Jupyter Notebook环境中打开base文件夹中的board_btn_leds.ipynb文件。 11. 在Notebook中,点击工具栏的运行图标或选择Cell->Run来运行代码。 12. 在这个示例中,按下PYNQ开发板上的按键0可以改变彩色LED的颜色,按键1可以开启从右到左的流水灯,按键2可以开启从左到右的流水灯,按键3结束运行。 通过按下相应的按键,你可以控制流水灯的运行和LED的颜色。请注意,这只是一个示例,你可以根据自己的需求进行修改和扩展。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [PYNQ-Z2 镜像烧录&设备启动&网络设置](https://blog.csdn.net/weixin_41258131/article/details/129872294)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

雪天鱼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值