工控常用滤波方法(限幅+中值+算术平均+滑动平均)

简介

在实际的工程应用中,实际反馈的信号由于是通过电压及电流转换而来的数字量信号,在现场可能会受到比较大的干扰问题,这样的扰动会影响控制系统的输出精度,也会使其产生比较大的偏差。

故在实际应用中,通常不会直接将反馈的信号作为信号输入,会在之前加一个滤波器以使数据更平滑,在此,非常有必要引入数字滤波的概念。

常用的滤波方法有很多,如限幅滤波法、中值滤波法、算术平均值滤波法及滑动平均滤波法。

限幅滤波法

由于被测对象的惯性导致实际采样值的变化速率有限;但由于采样电路的误差和电磁干扰会造成采样值的起伏,又由于起伏频率比较高,因此可以通过数字滤波消除。

对很多实际应用来说,相邻两次采样值之差△Y是不可能超过某一定值的,因为任何物理量变化都需要一定时间,因此当 △Y大于某一定值时,可以判断测量值肯定是某种原因引起的干扰,应将其去掉,用上一次的采样值来代替本次采样值,即Y(i)=Y(i-1)。这就是限幅滤波的原理,可用公式表示为:

|Y(i)-Y(i-1)|≤△Ymax ——则Y(i)=Y(i)
|Y(i)-Y(i-1)|>△Ymax ——则Y(i)=Y(i-1)
———————————————————
Y(i)——第i次采样值;
Y(i-1)——第i-1次采样值;
△Ymax——相邻两次采样值最大可能偏差。

在这里插入图片描述

程序内容如下:

#Time(IN := NOT #Time.Q,
      PT := #SamplingPeriod);

IF #Time.Q THEN
    IF ABS_REAL(IN := #Data_Input - #Data_Max) <= #Data_Max THEN
        #Data_Output := #Data_Input;
    ELSE
        #Data_Output := #Data_Mid;
    END_IF;
    #Data_Mid := #Data_Input;
END_IF;

中值滤波法

中值滤波是把 N次采样值大小顺序排列,然后取中值作为周期采样的一种滤波方法。这种滤波方法非常适合于变量变化缓慢的场合删除偶然干扰。
可用公式表示为:

Y(i)=Mid(x1,x2,x3…xn)
——————————————
Y(i)——周期采样值;
xl,x2,xn——周期内的n次采样值,一般取n为奇数;
Mid——取 xl,x2,……,xn 的中间值。

中值滤波对脉冲噪声有良好的滤除作用,特别是在滤除噪声的同时,能够保护信号的边缘,对温度、液位的变化缓慢的被测参数有良好的滤波效果,使之不被模糊。

这些优良特性是线性滤波方法所不具有的。其缺点是对流量、速度等快速变化的参数不宜

中值滤波法涉及到排序算法,即把收集到的N个信号排序,如果使用的是传统PLC,大量的运算会延长PLC扫描周期,采样的数据量不宜过多。软PLC性能较强,可以适当增大数据量进行操作。

程序如下图:

在这里插入图片描述
在这里插入图片描述

#Time(IN := NOT #Time.Q,
      PT := #SamplingPeriod);

//每一个扫描周期采集一次数据,采集满十次之后取中值
IF #Time.Q AND #Number <= 10 THEN
    #DataMid[#Number] := #Data_Input;
    #Number := #Number + 1;
END_IF;

IF #Number >= 11 THEN
    //冒泡排序
    FOR #i := 0 TO 9 BY 1 DO
        FOR #j := 1 TO 10-#i BY 1 DO
            IF #DataMid[#j - 1] > #DataMid[#j] THEN
                #Temp := #DataMid[#j - 1];
                #DataMid[#j - 1] := #DataMid[#j];
                #DataMid[#j] := #Temp;
            END_IF;
        END_FOR;
    END_FOR;
    //取中值输出
    #Data_Output:=#DataMid[5];
    #Number := 1;
END_IF;

算术平均滤波法

在模拟量接口单元中一般都配备了求算术平均值的功能,但由于这些接口单元采样时间较快(通常是毫秒级的),而且采样时间不能调整,因此对于一些采样时间较长的场合而言,仍然需要编程求平均值。
对于一些存在周期干扰的过程,也可以采用算术平均值的方法进行平滑滤波,其公式为:

Y(i)=(x1,x2,x3…xn)/N
——————————————
Y(i)——算术平均值;
xl,x2,xn——周期内的N次采样值;

从式中可以看出,算术平均值就是在一定的采样周期内进行次采样,然后将 N次采样相加再除以 N得到的平均值,将这个平均值作为该周期的最后测量结果。实现次算法的程序相对容易实现,其程序流程图如图。

在这里插入图片描述

#Time(IN := NOT #Time.Q,
      PT := #SamplingPeriod);

//每一个扫描周期采集一次数据,采集满十次之后取中值
IF #Time.Q AND #Number <= 10 THEN
    #DataMid[#Number] := #Data_Input;
    #Number := #Number + 1;
END_IF;

IF #Number >= 11 THEN
    #Data_Output := (#DataMid[0]+#DataMid[1]+#DataMid[2]+#DataMid[3]
        +#DataMid[4]+#DataMid[5]+#DataMid[6]+#DataMid[7]+#DataMid[8]
        +#DataMid[9]+#DataMid[10])/11;
    #Number := 1;
END_IF;

滑动平均滤波

在算术平均值滤波或加权平均值滤波中,必须采样N次动作作为一个采样周期,这样采样速度慢不适合某些变量变化较快的场合。
为了克服这个缺点,可以在存储器中设一个N个变量的缓冲区,每次采样去最旧的一个数据,加一个最新的数据,然后再进行算术平均值滤波或加权平均值滤波,
显然,每采样一次就可得到一个采样周期值,这样方法称为滑动平均值滤波。

这里以算术平均值作演示。

在这里插入图片描述

#Time(IN := NOT #Time.Q,
        PT := #SamplingPeriod);

//每一个扫描周期采集一次数据,采集满十次之后取中值
  IF #Time.Q THEN
      //移位,把第11位去除
      FOR #i := 10 TO 1 BY -1 DO
          #DataMid[#i] := #DataMid[#i - 9];
      END_FOR;
      //把第一位赋最新值
      #DataMid[0] := #Data_Input;
      //输出滤波值
      #Data_Output := (#DataMid[0] + #DataMid[1] + #DataMid[2] + #DataMid[3]
        + #DataMid[4] + #DataMid[5] + #DataMid[6] + #DataMid[7] + #DataMid[8]
        + #DataMid[9] + #DataMid[10]) / 11;
END_IF;
  • 16
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值