xilinx官网申请IP

Vivado 在编译的时候会报错,完整的错误信息如下:
在这里插入图片描述
[Common 17-69] Command failed: This design contains one or more cells for which bitstream generation is not permitted:
tri_mode_ethernet_mac_i/inst/tri_mode_ethernet_mac_0_core (tri_mode_ethernet_mac_0_tri_mode_ethernet_mac_v9_0_10)
If a new IP Core license was added, in order for the new license to be picked up, the current netlist needs to be updated by resetting and re-generating the IP output products before bitstream generation.

解决办法:
https://www.xilinx.com/support/answers/58758.html
先去官方看下解决办法,一般有两种情况:
1、以前在别的机器上可以用,新机器编译会报错,所以会出现CPRI IP的License Host ID 和本机并不相符.。
这种情况需要去官方重新申请license,注意修改License Host ID。
2、就是我这次遇到的问题,就是没有IP的License,这时候要去申请该IP的权限,申请方法详见下面链接:
https://blog.csdn.net/Pieces_thinking/article/details/103449922

复制这个链接直接进入ip申请的地址:http://www.xilinx.com/getlicense

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值