FPGA学习笔记(二):clk IP核的使用

笔记二是简单介绍clk IP核的使用,并且通过仿真进行验证

 1.打开VIVADO,点击IP Catalog

 

2. 搜索clk,选择Clocking Wizard

 

3. 配置参数

(1) 选择MMCM

 

 

 (2) 设置主频50Mhz

 (3) 设置输出的4个clk的参数,分别是50M,25M,100M,100M反相。Phase表示相位,0表示同相,180表示反相。

 

 

 (3) 其他参数

 4. 测试文件

module tb_clock;
reg clk;				// 输入时钟
reg rst_n;				// 输入复位
wire clk_50M;			// 输出50M clk
wire clk_25M;			// 输出25M clk
wire clk_100M;			// 输出100M clk
wire clk_100M_180deg;	// 输出100M_180deg
wire locked;			// 输出稳定的信号
// 例化clk_wiz IP核
  clk_wiz_0 U1
   (
    // Clock out ports
    .clk_50M(clk_50M),     // output clk_50M
    .clk_25M(clk_25M),     // output clk_25M
    .clk_100M(clk_100M),     // output clk_100M
    .clk_100M_180deg(clk_100M_180deg),     // output clk_100M_180deg
    // Status and control signals
    .reset(~rst_n), // input reset
    .locked(locked),       // output locked
   // Clock in ports
    .clk_in1(clk));      // input clk_in1
// 初始化  
initial 
begin
    clk =0;				// clk为低电平
    rst_n =0;			// 复位为低电平
    # 50				// 延时50ns
    rst_n = 1;			// 复位为高电平
end
always #10 clk = ~clk;	// clk周期为20ns
endmodule

其中clk_wiz的例化可参考模板。点击IP Sources

 

点开veo文件 

 找到其中的例化模板,对应更改。其中例化模板如下:

clk_wiz_0 instance_name
   (
    // Clock out ports
    .clk_50M(clk_50M),     // output clk_50M
    .clk_25M(clk_25M),     // output clk_25M
    .clk_100M(clk_100M),     // output clk_100M
    .clk_100M_180deg(clk_100M_180deg),     // output clk_100M_180deg
    // Status and control signals
    .reset(reset), // input reset
    .locked(locked),       // output locked
   // Clock in ports
    .clk_in1(clk_in1));      // input clk_in1

5. 仿真结果

观察lockwd信号为高电平之后的结果,locked为高电平时表示系统稳定。

  • 4
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

菜yuan~

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值