2020计本数字电路实验三

本文详细介绍了如何使用Verilog语言设计4位全加器,并通过Modelsim进行仿真验证,包括4位加法器的模块定义、代码编写、编译和联合仿真过程。
摘要由CSDN通过智能技术生成

2020计算机科学与技术数电实验三
一.4位加器的门级建模
电路结构:在这里插入图片描述

1.打开quartus II,点击新建工程,创一个测试文件的路径。
在这里插入图片描述
2.选定工程文件。
在这里插入图片描述
3.tool settings选择modelsim。
在这里插入图片描述
4.新建文件verilog HDL。
在这里插入图片描述
5.将课本中的代码打入到新建的文件中。
在这里插入图片描述

6.输入玩完以后进行编译。(如编译失败,则是代码错误,仔细检查)

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值