EDA程序设计--数字跑表

要求:

1.具有暂停/启动功能;

2.具有重新开始功能;

3.用6个数码管分别显示百分秒、秒和分钟。

一、设计题目及要求

     题目: 数字跑表

         要求:1.具有暂停/启动功能;

2.具有重新开始功能;

3.用6个数码管分别显示百分秒、秒和分钟。

二、方案论证

方案一  采用8051IP核设计。

用FPGA构成一个8051单片应用系统具有如下优缺点:

1、拥有标准8051完全兼容的指令系统的CPU

2、256字节内部RAM;

34K字节程序ROM

4、每一次编译下载后都能根据需要更新ROM中的程序,所以该单片机的实现和使用如同89C51/52一样方便。

缺点: 1、设计烦琐,可以直接用8051单片机代替。

2、程序复杂。

方案二  采用芯片EP1K30QC208-3、8字数码显示电路、按键开关设计。

[1]“EP1K30QC208-3”与单端的I/O口标准相比,这些内显置于器件内部的缓冲器保持了信号的完整性,并且有更低的电磁干扰、更好的电磁兼容)及更低的电源功耗。采用此芯片设计简单,不需要用汇编语言编写程序,直接用VHDL编写即可以.

缺点:与8051IP核相比精确度不是很高。但是对于秒表系统设计可以满足要求。

采用方案二也有两种具体的实现方法:

1、模块式设计方法。在此采用此方式设计。

2、一个整体VHDL语言描述的VHDL模块。

三、设计总体框图

四、硬件电路设计与程序设计

设计思路

今需设计一个计时范围为0.01 秒~1 小时的数字秒表,首先需要获得一个比较精确的计时基准信号,这里是周期为1/100 s 的计时脉冲,可以把100MHz的信号经过100分频的分频器三次分频得到,其中分频器经过两次分频得到的信号还可作为数码管扫描电路的时钟。其次,还需对每一计数器设置清零信号输入和对六个计数器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制功能。为了方便控制所有计数模块清零和使能功能设计了一个控制模块。最后把所有计数器的输出数据通过数码管扫描电路模块和七段译码显示模块作为输出,接到实验箱上的8字数码显示电路上就可显示结果了。因此数字秒表可由三个分频器、四个十进制计数器(1/100 秒、1/10 秒、1 秒、1分)、两个六进制计数器(10 秒、10 分)、一个控制器、一个数据选择器以及七段译码显示器组成,如总体框图所示。根据设计思路,本次设计就采用模块化设计,共分为分频器模块(fenpin)、控制模块(kongzhi)、十进制计数模块(cnt10)、六进制计数模块(cnt6)、数码管扫描模块(xuanze)、七段译码显示模块(decl7s)六个模块以及图形式顶层文件。下面我们分别对每个模块的功能进行描述、程序进行设计以及各个模块功能的仿真。


  • 5
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
方案设计: 数字跑表的核心部件是计数器,给出合理的时钟脉冲从而实现最低位的计数以及对高位的进位。时序脉冲源由555定时器构成的多谐振荡器,设置特定的参数可以产生频率为100Hz的时序脉冲,为计数器提供时序脉冲,使之进行计数。计数器由3对74LS390双十计数器芯片组成,通过芯片间的连接实现百分秒、秒、分计时电路,量程在00分00.00秒~~59分59.99秒,把小数点后面的两位设计成一百进制的计数器,秒数和分钟数分别设计成60进制的计数器数,计数器输出连接译码器,译码器再连接7位数码管显示的数码管,从左到右分别为分十位,分个位,秒十位,秒个位,百分秒十位,百分秒个位。逻辑门控制构成RS触发器,通过实现电路的通断控制计数器的启动/暂停及清零。 接通电源后,直接显示计时器启动,SW1处于低点平,SW2处于高电平。开关SW2接高电平(上端),电路即开始计时,将开关SW2接低电平(下端),电路就暂停计时,清零开关SW1接高电平(下端),计时清零且停止,显示器显示“0”。这样就实现了数字跑表的各项基本功能。 设计要求: 1. 量程在00分00.00秒~~59分59.99秒即时间以1小时为一个周期; 2. 具有‘分’、‘秒’、‘1/100秒’的十进制数字显示; 3. 要有外部开关,控制计数器的直接清零、启动和暂停/连续计时功能; 4. 用7位数码管显示分、秒; 5. 画出部分和整体的电路图,以及元器件及参数选择。 工作原理: 1.利用555计时器构成能产生特定脉冲的多谢振荡器,产生100Hz的脉冲信号,满足数字跑表的脉冲需求; 2.用多功能计数器产生一百进制和六十进制,实现数字跑表的计数功能; 3.利用各种门电路的组合,实现数字跑表的启动、暂停和清零; 4.利用译码器和数码管实现译码及显示功能。 系统框图: Altium Designer画的原理图和PCB图如下:
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

白茶丫

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值