FPGA学习笔记--Vivado的使用

Vivado软件的使用

开发流程

1.新建工程

create project

选个合适的存放地点,注意不要有中文路径!!!



2.设计输入



接下来就直接点击Finish–>OK–>YES,然后就可以在.v文件里面编写代码了

3.设计约束输入

编写完代码后



设置好后Ctrl + S保存

4.生成比特流

其实还有分析综合和运行实现,生成比特流会在这两个完成之后才会进行


可以在Project Summary 看到进度

如果分析综合过程中失败,可能是因为存在中文路径,需要修改计算机的名称
具体可参考这篇文章[(44条消息) Vivado Synthesis failed 解决办法_微笑掩饰_悲伤的博客-CSDN博客_synthesis failed](https://blog.csdn.net/qq_44888785/article/details/123947366

5.下载烧录

点击Open Target—>Auto connnect(注意此时要连接好下载器!!!)


烧录完成!!!

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值