模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

目录

前言

模6计数器

Verilog HDL语言描述

测试文件

仿真电路图

RTL Schematic

Technology Schematic

模10计数器

Verilog HDL语言描述

测试文件

仿真波形

RTL Schematic

Technology Schematic


前言

详细地了解这些简单的计数器并非毫无意义的,因为它是组成大型计数器的小模块,如果大型的计数器不太好理解,那么分解出来分别研究,不也是一种好的办法吗?

这篇博文的意义就在如此。我将仿真加综合去详细的认清楚这些小零件。

模6计数器

模6计数器比较关键的一点是从0开始计数,计数到5(0101)时,产生一个进位信号1(注意这个进位信号1可以由第0位与第2位的与来得到,这在设计电路中比较重要,下面会有体现),并且此时,计数状态清零。

Verilog HDL语言描述

//模6计数器的Verilog HDL设计
module counter6(clk, rst_n, en, dout, co);

input clk, rst_n, en;
output[3:0] dout;
reg [3:0] dout;
output co;

always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		dout <= 4'b0000;        //系统复位,计数器清零
	else if(en)
		if(dout == 4'b0101)     //计数值达到5时,计数器清零
			dout <= 4'b0000;
		else
			dout <= dout + 1'b1; //否则,计数器加1
	else
		dout <= dout;

end

assign co = dout[0]&dout[2];  //当计数达到5(4'b0101)时,进位为1,计数值为其他,都没有进位

endmodule

测试文件

//模6计数器的测试文件
`timescale 1ns/1ps
module counter6_tb;

reg clk, rst_n, en;
wire[3:0] dout;
wire co;

//时钟设计周期为2ns
always
begin
	#1 clk = ~clk;
end

//初始化
initial
begin
	clk = 1'b0;
	rst_n = 1'b1;
	en = 1'b0;
	#2 rst_n = 1'b0;
	#2 rst_n = 1'b1; en = 1'b1;    //计数使能信号有效,且不复位
	

end

counter6 u1(.clk(clk), .rst_n(rst_n), .en(en), .dout(dout), .co(co));

endmodule

仿真电路图

从仿真电路图可以看出,模6计数器从0开始计数,计数到5,计数器清零,然后重新计数。

RTL Schematic

从这里可以看到模6计数器整理的外部输入输出情况,展开后如下:

这个门级的原理图不复杂但是挺不好理解的,哪位壮士看的明白,麻烦给我说一声。

反正这个模6计数器综合出来的寄存器传输级原理图就是这样的。

Technology Schematic

也就是在FPGA中是怎么样的一个电路图:

用到了LUT(查找表)、各种BUF以及D触发器资源。

模6计数器就认识到这里吧。

模10计数器

模10计数器的设计和模6计数器的设计如出一辙,甚至说仅仅一点儿变化,也就是把计数值改变一下,计数到9然后清零而已。但我还是把它仿真了个遍。

Verilog HDL语言描述

//模10计数器的Verilog HDL设计
module counter10(clk, rst_n, en, dout, co);

input clk, rst_n, en;
output[3:0] dout;
reg [3:0] dout;
output co;

always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		dout <= 4'b0000;        //系统复位,计数器清零
	else if(en)
		if(dout == 4'b1001)     //计数值达到5时,计数器清零
			dout <= 4'b0000;
		else
			dout <= dout + 1'b1; //否则,计数器加1
	else
		dout <= dout;

end

assign co = dout[0]&dout[3];  //当计数达到5(4'b1001)时,进位为1,计数值为其他,都没有进位

endmodule

测试文件

//模10计数器的测试文件
`timescale 1ns/1ps
module counter10_tb;

reg clk, rst_n, en;
wire[3:0] dout;
wire co;

//时钟设计周期为2ns
always
begin
	#1 clk = ~clk;
end

//初始化
initial
begin
	clk = 1'b0;
	rst_n = 1'b1;
	en = 1'b0;
	#2 rst_n = 1'b0;
	#2 rst_n = 1'b1; en = 1'b1;    //计数使能信号有效,且不复位
	

end

counter10 u1(.clk(clk), .rst_n(rst_n), .en(en), .dout(dout), .co(co));

endmodule

仿真波形

RTL Schematic

Technology Schematic

用的资源好像比模6计数器要多。

 

 

  • 40
    点赞
  • 280
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 6
    评论
大学生参加学科竞赛有着诸多好处,不仅有助于个人综合素质的提升,还能为未来职业发展奠定良好基础。以下是一些分析: 首先,学科竞赛是提高专业知识和技能水平的有效途径。通过参与竞赛,学生不仅能够深入学习相关专业知识,还能够接触到最新的科研成果和技术发展趋势。这有助于拓展学生的学科视野,使其对专业领域有更深刻的理解。在竞赛过程中,学生通常需要解决实际问题,这锻炼了他们独立思考和解决问题的能力。 其次,学科竞赛培养了学生的团队合作精神。许多竞赛项目需要团队协作来完成,这促使学生学会有效地与他人合作、协调分工。在团队合作中,学生们能够学到如何有效沟通、共同制定目标和分工合作,这对于日后进入职场具有重要意义。 此外,学科竞赛是提高学生综合能力的一种途径。竞赛项目通常会涉及到理论知识、实际操作和创新思维等多个方面,要求参赛者具备全面的素质。在竞赛过程中,学生不仅需要展现自己的专业知识,还需要具备创新意识和解决问题的能力。这种全面的综合能力培养对于未来从事各类职业都具有积极作用。 此外,学科竞赛可以为学生提供展示自我、树立信心的机会。通过比赛的舞台,学生有机会展现自己在专业领域的优势,得到他人的认可和赞誉。这对于培养学生的自信心和自我价值感非常重要,有助于他们更加积极主动地投入学习和未来的职业生涯。 最后,学科竞赛对于个人职业发展具有积极的助推作用。在竞赛中脱颖而出的学生通常能够引起企业、研究机构等用人单位的关注。获得竞赛奖项不仅可以作为个人履历的亮点,还可以为进入理想的工作岗位提供有力的支持。
下面是一个用 Verilog HDL 语言设计的四位递减计数器以及测试激励: ```verilog module counter( input clk, // 时钟信号 input rst, // 复位信号 output reg [3:0] count // 计数器输出 ); // 定义计数器的初始值 parameter INIT_VALUE = 4'hF; // 时钟上升沿触发 always @(posedge clk) begin if (rst) begin // 复位时计数器置为初始值 count <= INIT_VALUE; end else begin // 否则计数器递减 count <= count - 1; end end endmodule ``` 上述代码中,计数器从初始值 `INIT_VALUE` 开始递减,直到计数器值为 0。同时,当复位信号 `rst` 为高电平时,计数器会被复位为初始值。 下面是一个测试激励代码,它可以测试计数器从初始值开始递减,直到计数器值为 0,然后再次从初始值开始递减: ```verilog module testbench; // 时钟周期 parameter PERIOD = 10; // 输入信号 reg clk; reg rst; // 输出信号 wire [3:0] count; // 实例化计数器 counter uut ( .clk(clk), .rst(rst), .count(count) ); // 时钟信号 always #(PERIOD / 2) clk = ~clk; // 测试用例 initial begin // 复位计数器 rst = 1; #PERIOD; rst = 0; #PERIOD; // 计数器从初始值开始递减 assert(count === 4'hF) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hE) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hD) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hC) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hB) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hA) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h9) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h8) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h7) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h6) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h5) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h4) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h3) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h2) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h1) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h0) else $error("Error: count=%h", count); // 计数器从初始值开始递减 #PERIOD; assert(count === 4'hF) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hE) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hD) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hC) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hB) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'hA) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h9) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h8) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h7) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h6) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h5) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h4) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h3) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h2) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h1) else $error("Error: count=%h", count); #PERIOD; assert(count === 4'h0) else $error("Error: count=%h", count); $display("All test cases passed!"); $finish; end endmodule ``` 上述代码中,测试激励会通过时钟信号 `clk`,以及复位信号 `rst`,对计数器进行测试。测试激励首先会将计数器复位,然后计数器会从初始值开始递减,直到计数器值为 0。接着,计数器会再次从初始值开始递减,直到计数器值为 0。最后,测试激励会输出所有测试用例都通过的消息,并结束仿真
评论 6
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值