在仿真文件中读取或者写入txt文件


用$readmemh.或者$readmemb.


在Matlab中写txt文档的方法
fid = fopen('data_in.txt','w');       //自动创建一个data_in.txt文档  ,并且写入                                                          
fprintf(fid,'%d\n',data_in);           // 将data_in 数组中的数据  写入fid,也就是data_in.txt中                                                       
fclose(fid);                                  //关闭fid


在Modelsim中wave导出txt文件
integer data_out _int;  
integer fid_out ;   
integer   rc_x;
reg  [15:0]             data_out;                                                         
initail fid_out = $fopen("real_output.txt","w");        // 初始化,将数据写入real_output.txt;
integer data_out_reg;   

always @ (posedge clk)                                                                     

    begin                                                                                                 

        if(data_valid)                                                                                

            begin                                                                                         
               data_out_reg = data_out;        //      将data_out数据每个有使能的时钟赋值data_out_reg。                                                          
               $fdisplay(fid, "%d", data_out_reg );

            end                                                                                             

    end  

matlab读取命令为:data= load('data_output.txt');




  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值