100Mhz秒脉冲发生器 vivado

本文介绍了如何使用Vivado设计工具实现一个100MHz的脉冲发生器,通过源代码展示详细步骤,涵盖了数字逻辑设计与FPGA应用。
摘要由CSDN通过智能技术生成

源代码

module Second_pulse_generator(

	 input wire clk,//外部时钟,所用时钟为100MHz,周期为10ns
	 input wire rst,//清零端
	 output reg sec_pule//脉冲信号
    );
	 
	 reg [27:0] m=0;//用于时间计数
	 //reg n;
	 
     initial 
     begin
     m
  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值