xilinx官方pcie dma例程 -xapp859仿真环境搭建

本文档详细介绍了使用Xilinx官方xapp859在Win10系统下,基于ISE 10.1和ModelSim 10.1a 32位进行PCIe DMA仿真环境的搭建过程。内容包括软件版本需求、系统和引擎框图、DMA读写流程以及在实际操作中遇到的问题与解决方案。特别指出,针对版本兼容性问题,如PCIE core版本和ModelSim版本,可能需要寻找合适的版本或解决许可问题。
摘要由CSDN通过智能技术生成

软件版本

win 10 系统

ISE 10.1 

modelsim 10.1a win32 

注:xapp859官方文档说明了xapp859的编译环境为ISE10.1版本, 然后modelsim 必须是32位的。

方案框图

主要参考 xapp859说明文档

系统框图

发送引擎框图

接收引擎框图

DMA读

数据流向 host -> fpg

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值