xilinx AXI4-Stream 接口学习笔记

标准AXI-stream总线

AXI4-Stream去掉了地址项,允许无限制的数据突发传输规模。

一、接口信号描述

信号

描述

ACLK

时钟源

全局时钟信号。所有信号在ACLK信号上升沿采样。

ARESETn

复位源

全局复位信号。ARESETn低电平有效。

TVALID

TVALID表示主设备正在驱动一个有效的传输。当TVALID和TREADY都置位时,发生一个传输。

TREADY

TREADY表示从设备在当前周期能够接收一次传输。

TDATA[(8n-1):0]

TDATA是基本的有效载荷,用来提供跨越接口的数据。数据为整数个字节。

TSTRB[(n-1):0]

TSTRB位字节修饰符。用来描述TDATA相关字节内容作为一个数据字节或者一个位置字节被处理。

TKEEP[(n-1):0]

TKEEP是字节修饰符。用来表明TDATA相关字节的内容是否作为数据流的一部分被处理。TKEEP字节修饰符未被确认的那些相关字节是空字节,可以从数据流中去除。

TLAST

  • 9
    点赞
  • 64
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值