verilog实现一种任意占空比方波产生

本文介绍了如何使用Verilog语言设计一个模块,以产生具有可配置高电平和低电平持续时间的方波。通过设置一个计数寄存器并根据计数值来切换输出状态,实现了任意占空比的方波信号。在实现过程中需要注意计数器的位宽设置,以确保能够正确计数到预设的最大值。
摘要由CSDN通过智能技术生成

今天突发奇想,比如我要得到上图所示的,高电平占7个CLK,低电平占2个CLK的输出方波。

设置个计数的寄存器,当计数值小于高电平持续时间时,输出高电平,当高于高电平持续时间小于总周期时,输出低电平

程序如下:

module fenpinqi_buduicheng (clk, clr, q);
    input clk;
    input clr;
    output reg q;
    parameter high = 5, low = 2;
reg [3:0] count;
    always @ (posedge clk)
        begin
            if (clr == 1) 
                begin
                    q <= 0;
                    count <= 1'b0;
                end
            if (clr == 0) 
                begin
                    if (count < high) 
                        begin
                            count <= count+1'b1;
               

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值