除法器FPGA实现

本文介绍了使用FPGA实现除法器的过程,通过资源利用表和状态机仿真图展示设计细节,最终得出正确答案result为8,余数remainder为0。
摘要由CSDN通过智能技术生成
`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2017/08/18 15:20:41
// Design Name: 
// Module Name: devided
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module devided(
                clk,
                rst_n,
                a,
                b,
                result,
                remainder
    );
    
    input                 clk;
    input                 rst_n;
    
    input   [15:0]        a;   // 被除数
    input   [7:0]         b;   //除数
    
    output  reg  [15:0]        result; // 商
    output    [7:0]         remainder;//余数
    
    reg    [22:0]        mid_a ;
    reg    [2:0]         state;
    reg    [6:0]         diff;
    reg    [4:0]         cnt;
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n)begin
            mid_a <= {7'd0
  • 3
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值