基于FPGA的8位除法器设计Verilog代码VIVADO仿真

名称:基于FPGA的8位除法器设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:

设计8位除法器

1、设计8位除法器

2、输入除数、被除数

3、输出商和余数

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

功能仿真图

时序仿真图

TCL console

部分代码展示:

modu
//A/Ble div_8(A,B,shang,yushu);
parameter N=4;
input [2*N-1:0] A;//被除数
input [N-1:0] B;//除数
output reg [2*N-1:0] shang;//商
output reg [N-1:0] yushu;//余数
reg [2*N-1:0] bufa;
reg [2*N-1:0] bufb;
reg [4*N-1:0] result;
//经过寄存器
always@(A or B)
begin
bufa<=A;
bufb<=B;
end
//设计除法函数,函数名div_AB
function [15:0]div_AB;
input [7:0] AA;//被除数
input [7:0] BB;//除数
integer i;
reg [15:0] buf_aa;
reg [15:0] buf_bb;
begin
buf_aa={8'h00,AA};//扩展到16位
buf_bb={BB,8'h00};//扩展到16位
for(i=0;i<8;i=i+1)
begin
buf_aa=buf_aa<<1;//左移
if(buf_aa[15:8]>=BB)
buf_aa=buf_aa-buf_bb+1'b1;//相减
else
buf_aa=buf_aa;
end
div_AB=buf_aa;
end
源代码

 扫描文章末尾的公众号二维码

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值