ISE MAP出错:Pack:1107 - Pack was unable to combine the symbols listed below into a single IOB33 compon

Pack:1107 - Pack was unable to combine the symbols listed below into a single IOB33 component because the site type selected is not compatible.
Further explanation:
The component type is determined by the types of logic and the properties and configuration of the logic it contains. In this case an IO component of type IOB33 was chosen because the IO contains symbols and/or properties consistent with single ended I/O usage and a IOSTANDARD=LVDS_25 property. Please double check that the types of logic elements and all of their relevant properties and configuration options are compatible with the physical site type of the constraint.

Summary:
Symbols involved:
    BUF symbol "Q0_CLK1_GTREFCLK_PAD_N_IN_IBUF" (Output Signal = Q0_CLK1_GTREFCLK_PAD_N_IN_IBUF)
    PAD symbol "Q0_CLK1_GTREFCLK_PAD_N_IN" (Pad Signal = Q0_CLK1_GTREFCLK_PAD_N_IN)
Component type involved: IOB33
Site Location involved: R7
Site Type involved: IPAD

Pack:1107 -
Symbols involved:
    BUF symbol "Q0_CLK1_GTREFCLK_PAD_P_IN_IBUF" (Output Signal = Q0_CLK1_GTREFCLK_PAD_P_IN_IBUF)
    PAD symbol "Q0_CLK1_GTREFCLK_PAD_P_IN" (Pad Signal = Q0_CLK1_GTREFCLK_PAD_P_IN)
Component type involved: IOB33
Site Location involved: R8
Site Type involved: IPAD

说明:Q0_CLK1_GTREFCLK_PAD_N_IN 和 Q0_CLK1_GTREFCLK_PAD_P_IN是一对差分时钟输入,当keep hierarchy设置为no时,map成功,但是添加cdc时,有好多信号甚至整个模块不见了。当keep hierarchy设置为yes,map出现上述问题。

出现此error,应该是ucf里定义了管脚,但在工程里没用上,看看是哪个信号报错,再找找什么地方连错了就行。

 

原来是这对差分时钟转单端生成的时钟,用的时候信号名写错了,改正就ok了。

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 9
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 9
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值