uvm uvm_tlm_fifo的使用

本文详细介绍了如何在UVM环境中使用uvm_tlm_fifo作为通信接口,通过在env中定义并连接接口,以及在ref和qlen组件中分别使用uvm_blocking_put_port和uvm_blocking_get_port进行数据传输。关键步骤包括定义接口、实例化、建立连接以及在main_phase中执行put和get操作。注意在获取数据后需及时复制到本地变量,以防止源端数据变更。
摘要由CSDN通过智能技术生成

1,在env里边定义一个uvm_tlm_fifo接口,用于ref和qlen之间的通信接口

1), uvm_tlm_fifo  #(date_structure) ref_qlen_fifo;

2), new();

ref_qlen_fifo = new("ref_qlen_fifo",this,0);

3), build_phase();

ref.put_fifo.connect(ref_qlen_fifo.put_export);

qlen.get_fifo.connect(ref_qlen_fifo.get_export

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值