verilog 基础原理1 - RTL中对数据位宽的管理

我们通常非常清楚,对于每一个数据都必须定义其位宽,如:

reg [7:0]  a;

reg [19:0] b;

但进行计算时我们通常会忽视中间结果的位宽从而出现难以理解的仿真错误。如

wire  [19:0]  c;

assign   c = a*b >> 8; 

仿真发现c并非我们想要的结果。原因可能是仿真工具认为a*b的计算值的位宽为a和b中最大的位宽,即20位。

正确的方式是:

wire  [27:0] c_tmp;

assign c_tmp = a*b;

assign c = c_tmp[19:8];

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值