vivado 如何在程序启动的时候触发 ILA

下面讲解在vivado中怎么抓一个bit下进去后开始运行的初始事件,即startup trigger。操作起来比较复杂,一般情况下都是让要抓的事件延迟发生或者循环发生,方便调试。

如果实在要抓启动时的事件,按下面的步骤:
1.先把有ILA核的bit文件下进去,设置触发好条件
2.运行下面的Tcl命令把触发寄存器的值保存在tas文件中
···
%run_hw_ila -file ila_trig.tas [get_hw_ilas hw_ila_1]
···
3. 打开实现后的implement工程
4.运行下面的Tcl命令把触发设置加到当前内存里已经布线的implement设计上去
···
%apply_hw_ila_trigger ila_trig.tas
···
注意:如果发生错误的话说明ILA核在综合过程中被flattened了,这时需要设置保留hierarchy重新综合实现。
5.直接用Tcl命令生成bit文件,不能点击生成bit命令,这样工程会认为implement发生了改动而全部重新布线。
···
write_bitstream trig_at_startup.bit
···
6. 把刚刚生成的bit下进去,应该就能触发了。

  • 6
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值