FPGA中各种分频的verilog 编写

分频这里分为大体偶数和奇数,占空比为50%

用计数来完成分频,每种分频用一个寄存器来计数。

verilog 代码如下:

module div_clk(
					clk,
					reset_n,
					div_clk_pos,
					div_clk_neg,
					div_clk_2_N
					);
input  clk;
input  reset_n;
output reg div_clk_pos;  
output 	  div_clk_neg;
output reg div_clk_2_N;

<span style="color:#cc0000;">//pos num 50%</span>
parameter div_constant_pos = 6;

reg [31:0] pos_cnt;
always@(posedge clk or negedge reset_n)
	begin
		if(reset_n == 1'b0)
			begin
				pos_cnt <= 0;
			end
		else if(pos_cnt == div_constant_pos - 1)
			begin
				pos_cnt <= 1'b0;
			end
		else
			begin
				pos_cnt <= pos_cnt + 1'b1;
			end
	end

always@(posedge clk)
	begin
		if(pos_cnt >= 0 && pos_cnt < div_constant_pos>>1) 
			begin
				div_clk_pos <= 0;
			end
		else 
			begin
				div_clk_pos <= 1;
			end
	end

<spa
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值