hdlbits.01xz.net /Circuits/Sequential Logic/Finite State Machines/Q2a: FSM

module top_module (
    input clk,
    input resetn,    // active-low synchronous reset
    input [3:1] r,   // request
    output [3:1] g   // grant
); 
    parameter A = 4'b0001;
    parameter B = 4'b0010;
    parameter C = 4'b0100;
    parameter D = 4'b1000;
    
    reg [3:0] state, next;
    
    //ff
    always @(posedge clk) begin
        if(!resetn)
            state = A;
        else
            state = next;
    end
    
    //trans
    always @(*) begin
        if(!resetn)
            next = A;
        else begin
            case (state)
                A:begin
                    if(r[1])
                        next = B;
                    else if(r[2])
                        next = C;
                    else if(r[3])
                        next = D;
                    else
                        next = A;
                end
                B: begin
                    if(r[1])
                        next = B;
                    else
                        next = A;
                end
                C: begin
                    if(r[2])
                        next = C;
                    else
                        next = A;
                end
                D: begin
                    if(r[3])
                        next = D;
                    else
                        next = A;
                end
                default:
                    next = A;
            endcase
        end 
    end
    
    //out
    assign g[1] = (state==B)? 1: 0;
    assign g[2] = (state==C)? 1: 0;
    assign g[3] = (state==D)? 1: 0;

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值