UVM register model的使用

UVM怎样使用register model 验证寄存器:

1, 继承uvm_reg 建立uvm_reg_field
在build中声明,并且configure:
在这里插入图片描述
2,继承uvm_reg_block, 创建需要配置的寄存器,配置后门或前门的地址:
在这里插入图片描述在这里插入图片描述
3,建立一个uvm_reg_adapter:
主要是改写reg2bus,和bus2reg:
在这里插入图片描述
4,建立一个整体的uvm_reg_block:主要是定义AHB的访问地址,
在这里插入图片描述
5,建立读写的seq:
直接使用uvm_reg的。read或者write:

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值