HDLBits: Fsm serialdp

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
);

parameter IDLE = 4'd0;
parameter BIT0 = 4'd1;
parameter BIT1 = 4'd2;
parameter BIT2 = 4'd3;
parameter BIT3 = 4'd4;
parameter BIT4 = 4'd5;
parameter BIT5 = 4'd6;
parameter BIT6 = 4'd7;
parameter BIT7 = 4'd8;
parameter PARI = 4'd9;
parameter STOP = 4'd10;
parameter WAIT = 4'd11;

reg [3:0] state,next_state;
reg done_reg = 1'b0;
reg odd = 1'b0;

reg [8:0] temp_out;
always @(*) 
begin
    case(state)
        IDLE:
            begin
                if(in)  next_state <= IDLE;
                else    next_state <= BIT0;
            end
        BIT0,BIT1,BIT2,BIT3,BIT4,BIT5,BIT6,BIT7,PARI:
            begin
                next_state <= state + 1'b1;
            end
        STOP:
            if(in)
                next_state <= IDLE;
            else
                next_state <= WAIT;
        WAIT:
            if(in)
                next_state <= IDLE;
            else
                next_state <= WAIT;               
        default:
            next_state <= IDLE;
    endcase
end

always @(posedge clk) 
begin
    if (reset) 
    begin
        state <= IDLE;
    end
    else
    begin
        state <= next_state;
    end
end

always @(posedge clk) 
begin
	case(state)
        BIT0,BIT1,BIT2,BIT3,BIT4,BIT5,BIT6,BIT7,PARI:
            begin
                temp_out <= {in,temp_out[8:1]};
				done_reg <= 1'b0;
                if(in) odd <= ~odd;
            end
		STOP:
			begin
				done_reg <= in & odd;
                odd <= 1'b0;
			end
		IDLE,WAIT:
			begin
				done_reg <= 1'b0;
                odd <= 1'b0;
			end
		default:
			begin
				done_reg <= 1'b0;
                odd <= 1'b0;
			end		
	endcase
end

assign done = done_reg;
assign out_byte = temp_out[7:0];

endmodule

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值