1.单端口ram
读使能有效,输出数据,否则为高阻。
module ram(clk,wr_en,rd_en,addr,data);
input clk;
input wr_en,rd_en;
inout [7:0] data;
reg [7:0] mem [15:0] ;
reg [7:0] temp;
assign data = rd_en?temp:8'bz;
always @(posedge clk)begin
if(rd_en)
temp<=mem[addr];
else if(wr_en)
mem[addr]<= data;
else
temp <= 8'bz;
end
endmodule
2.双端口ram
与单端口ram相比,双端口的输入、输出数据总线是分开的,有时钟控制,且为同步控制。其有单独的读控制信号和读地址、写控制信号和写地址。
`define WIDTH 8
`define DEPTH 16
`define ADDR_SIZE 4
module double_ram(clk,rst_n,rd_en,wr_en,rdaddr,wraddr,data_in,data_out);
input clk,rst_n;
input rd_en,wr_en;
input [`ADDR_SIZE-1:0] rdaddr,wraddr;
input [`WIDTH-1:0] data_in;
reg [`WIDTH-1:0]mem[`DEPTH-1:0];
output [`WIDTH-1:0] data_out;
reg [`WIDTH-1:0] data_out;
always @(posedge clk or negedge rst_n)begin
if(rst_n)
data_out<=0;
else if(rd_en)
data_out <= mem[rdaddr];
else if(wr_en)
mem[wraddr] <= data_in;
end
endmodule
以上是简单的ram,对其读写地址没有控制。
下边介绍一下同步fifo的实现
3.同步fifo
fifo是一中先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,和顺序读出数据,其数据地址由内部读写指针自动加1完成。不像上边的ram要指定读写地址。
其主要分为基于移位寄存器型和基于ram型,而ram型的又有单端口ram和双端口ram之分,目前基于双端口ram的fifo较为广泛。
fifo的原理就是对双端口ram进行操作。
module sfifo(clk,rst_n,rd_en,wr_en,data_in,data_out,empty,full);
input clk,rst_n;
input rd_en,wr_en;
input [7:0] data_in;
output [7:0] data_out;
output empty,full;
reg [7:0] data_out;
reg [7:0]mem[15:0] ;
reg [3:0] cnt;
assign empyt = (cnt==0)?1'b1:1'b0;
assign full = (cnt==15)?1'b1:1'b0;
always@(posedge clk or negedge rst_n)begin
if(~rst_n)begin
wrptr<=0;
rdptr<=0;
end
else if(rd_en)
rdptr <= rdptr+1'b1;
else if(wr_en)
wrptr <= rdptr+1'b1;
end
always@(posedge clk or negedge rst_n)begin
if(~rst_n)
cnt <= 4'b0;
else if(rd_en)
cnt <= cnt -1'b0;
else if(wr_en)
cnt <= cnt +1'b1;
end
always @(posedge clk or posedge rst_n)begin
if(~rst_n)
data_out < = 0;
else if(wr_en)
mem[wrptr] <= data_in;
else if(rd_en)
data_out <= mem[rdptr];
else
data_out <= data_out;
end
endmodule