Vivado中ILA的使用

Vivado中ILA的使用

1.编写RTL代码

     其中需要说明的是(* keep = "TRUE" *)语句的意识是保持cnt信号不被综合掉,方便以后的调试,是否可以理解为引出这个寄存器信号。

2.加入ILA核

 

3.配置ILA核

    需要配置的参数主要有三个:1.Component Name,组件的名字,2.Number of Probes 需要抓取的信号的个数,3.Sample Data Depth 抓取的信号的深度。

 

第二页:

    配置所抓取的信号的宽度,此实验的cnt是4bit。

 

    IP核配置完成,生成即可:

 

4.在RTL中添加ILA核

 

    此时需要注意的是ILA核的clk信号需要连接到需要观察信号的相应时钟域,在一个RTL设计中是可以添加多个ILA核的,方便观察不同时钟域的信号。

5.综合

    综合所得结果为:

    由于没有进行引脚约束,没办法生成bit文件。

5.调试

    最后就是将bit文件下载到FPGA中,然后在软件上查看波形。

转载:https://www.cnblogs.com/chensimin1990/p/6826623.html

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
VivadoILA(集成逻辑分析仪)是一种用于调试和分析FPGA设计的工具。ILA可以帮助我们观察和捕获信号的波形,以便进行故障排查和验证设计的正确性。在Vivado使用ILA有几个关键步骤。 首先,在Vivado打开设计工程后,我们可以通过在工程添加ILA IP核来使用ILAILA IP核可以通过生成IP Catalog或者手动添加到设计。 其次,我们需要配置ILA。这包括设置波形窗口的数据深度和刷新速率,设置触发条件等。通过设置General Options和Probe Ports,我们可以对ILA进行基本的配置。 接下来,我们需要调用ILA。在设计插入ILA IP核后,我们可以在设计选择要监视的信号,并将其连接到ILA IP核。这样,当我们运行设计时,ILA将会开始捕获和显示所选信号的波形。 在ILA调用后,我们可以使用ILA的不同窗口进行联调。这些窗口包括信号窗口、波形窗口、状态窗口、设置窗口和触发条件设置窗口。通过这些窗口,我们可以查看和分析捕获的波形数据,进一步判断设计的问题。 最后,需要注意的是,在具体的设计,我们应根据项目的需求进行ILA的配置和使用ILA可以帮助我们调试和验证设计,但在应用需要根据实际情况进行灵活配置。 综上所述,VivadoILA是一种用于调试和分析FPGA设计的工具,通过添加ILA IP核并进行配置和调用,我们可以捕获和分析设计信号波形,以验证设计的正确性。<span class="em">1</span><span class="em">2</span><span class="em">3</span><span class="em">4</span>
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值