xilinx管脚差分端接_(Xilinx)FPGA中LVDS差分高速传输的实现

(

Xilinx

)

FPGA

LVDS

差分高速传输的实现

低压差分传送技术是基于低压差分信号

(Low Volt-agc Differential signaling)

的传送技术,

从一个电路板系统内的高速信号传送到不同电路系统之间的快速数

据传送都可以应用低压差分传送技术来实现,

其应用正变得越来越重要。

低压差

分信号相对于单端的传送具有较高的噪声抑制功能,

其较低的电压摆幅允许差分

对线具有较高的数据传输速率,消耗较小的功率以及产生更低的电磁辐射。

LVDS

Low Voltage Differential Signaling

,低电压差分信号。

LVDS

传输支持速率一般在

155Mbps

(大约为

77MHZ

)以上。

LVDS

是一种低摆幅的差分信号技术,

它使得信号能在差分

PCB

线对或平

衡电缆上以几百

Mbps

的速率传输,

其低压幅和低电流驱动输出实现了低噪声和

低功耗。

差分信号抗噪特性

从差分信号传输线路上可以看出,若是理想状况,线路没有干扰时,

在发送侧,可以形象理解为:

IN= IN+

IN-

在接收侧,可以理解为:

IN+

IN- =OUT

所以:

OUT = IN

在实际线路传输中,线路存在干扰,并且同时出现在差分线对上,

在发送侧,仍然是:

IN = IN+

IN-

线路传输干扰同时存在于差分对上,假设干扰为

q

,则接收则:

(IN+ + q)

(IN- + q) = IN+

IN- = OUT

所以:

OUT = IN

噪声被抑止掉。

上述可以形象理解差分方式抑止噪声的能力。

欲了解更多

LVDS

,可以参考《

LVDS

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值