ModelSim之tcl自动化仿真

摘要: ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。 下面就结合实例简要说明操作步骤:1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v2、编写.do文件(camera_tb.do),内容如下:# Create t

ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。

下面就结合实例简要说明操作步骤:
1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v
2、编写.do文件(camera_tb.do),内容如下:
# Create the work library
vlib work
vmap work work

# Compile the verilog files
vlog -work work camera.v
vlog -work work camera_tb.v

# Run simulation
vsim -lib work camera_tb
view wave
add wave sim:/camera_tb/*
run 55ms
3、编写.bat的批处理文件,用于在Windows cmd下运行整个ModelSim仿真。源码如下:
vsim -do camera_tb.do
保存文件为camera_tb.bat。
4、在Windows cmd中运行camera_tb.bat。
注意:以上的这些文件(camera_tb.do,camera_tb.bat),应该存放在相应的工程目录下。
在工程目录下直接点击camera_tb.bat运行即可。

运行完成DOS窗口会显示如下:

ModelSim之tcl自动化仿真

得到仿真结果如下:

ModelSim之tcl自动化仿真

在命令窗口会得到以下信息:

ModelSim之tcl自动化仿真

在调试过程中,若对源文件进行了修改而需要重新运行仿真时,则只需要在命令窗口输入do camera_tb.do命令回车即可再一次自动完成整个仿真过程,十分方便。
另外,若关闭DOS窗口,ModelSim也会随之一起关闭,它们两者是相互关联的。

ModelSim之tcl自动化仿真

转载至:http://www.it610.com/article/1577426.htm

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值