8b10b解码的verilog实现代码,非查表代码。

此代码是8b10b解码的verilog实现代码,非查表代码。即rx端的解码。

此代码在项目中,已经使用多次,相当成熟。

 

module 8b10b_decode (datain, dispin, dataout, dispout, code_err, disp_err) ;
  input wire [9:0]   datain ;
  input wire  dispin ;
  output wire  [8:0]  dataout ;
  output wire   dispout ;
  output  wire code_err ;
  output  wire disp_err ;

  wire ai = datain[0] ;
  wire bi = datain[1] ;
  wire ci = datain[2] ;
  wire di = datain[3] ;
  wire ei = datain[4] ;
  wire ii = datain[5] ;
  wire fi = datain[6] ;
  wire gi = datain[7] ;
  wire hi = datain[8] ;
  wire ji = datain[9] ;

 

转载:https://blog.csdn.net/weixin_36590806/article/details/111059629

欢迎转载!

  wire aeqb = (ai & bi) | (!ai & !bi) ;
  wire ceqd = (ci & di) | (!ci & !di) ;
  wire p22 = (ai & bi & !ci & !di) |
       (ci & di & !ai & !bi) |
       ( !aeqb & !ceqd) ;
  wire p13 = ( !aeqb & !ci & !di) |
       ( !ceqd & !ai & !bi) ;
  wire p31 = ( !aeqb & ci & di) |
       ( !ceqd & ai & bi) ;

  wire p40 = ai & bi & ci &

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值