数字后端面试问答No.1-3(每日三问)
文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点。点击进去后出现任何损失与社区无关。
点击标题下「蓝色微信名」可快速关注
最近公众号陆续收到粉丝们的留言,其中不少反应马上就到应届生校园招聘的时候了,要求发些各大公司的数字后端笔试面试题目。所以吾爱 IC 社区的小编也在陆续整理,汇编各种笔试面试题目,当然还包括自己命题的一些题目(网上肯定找不到的题目)。目前试推出每日三问,看看效果,有任何建议和意见欢迎在公众号留言。另外,微信技术交流群目前已经超过 100 人了,不能扫描进入,所以想进微信群交流的朋友,可以加小编微信(文章末尾处有二维码),然后邀请各位入群。
1.How can you reduce dynamic power?
-
-Reduce switching activity by designing good RTL
-
-Clock gating
-
-Power gating
-
-Architectural improvements
-
-Reduce supply voltage
-
-DVFS
-
-Use multiple voltage domains-Multi vdd
-
-Well bias
更多相关主题,请参照往期推送文章
2.If you have both IR drop and congestion how will you fix it?
-
-将 macros 摊开些
-
-standard cells 不要太聚集,特别是同时翻转的寄存器
-
-增宽 strap width
-
-提高 power strap 密度
-
-在 hot spot 区域加更多的 power switch cell
-
-合理使用 blockage
-
-将普通的 filler cell 替换成 ECOCAP cell
3.Why power stripes routed in the top metal layers?
-
-高层金属比较厚,电阻小,用来画 power,IR drop 较好
-
-EM 比较好
-
-如果底层用来画 power,势必占用底层的绕线资源(std cell 一般都是低层出 pin 的),造成 congestion
相关文章推荐(不看保证后悔)
秒杀数字后端实现中 clock gating 使能端 setup violation 问题
教你轻松调 DCT 和 ICC 之间 Timing 与 Congestion 的一致性
Scan chain reordering 怎么用你知道吗?
数字后端实现时 congestion 比较严重,你 hold 得住吗?
Final netlist release 前,你应该做好哪些工作?
深入浅出讲透 set_multicycle_path,从此彻底掌握它
数字后端实现时 congestion 比较严重,你 hold 得住吗?
时钟树综合(clock tree synthesis)基础篇
好了,今天的内容分享就到这里。另外,因为公众号更改推送规则,小编分享的每篇干货不一定能及时推送给各位。为了避免错过精彩内容,请关注星标公众号,点击 “在看”,点赞并分享到朋友圈,让推送算法知道你是社区的老铁,这样就不会错过任何精彩内容了。
如果你想和小编有更进一步的沟通交流的机会,欢迎加入小编知识星球,让我们一起学习成长,共同进步。相信在这里能让你成就一个更完美的自己。
小编知识星球简介(如果你渴望进步,期望高薪,喜欢交流,欢迎加入 ****):
在这里,目前已经规划并正着手做的事情:
-
ICC/ICC2 lab 的编写
-
基于 ARM CPU 的后端实现流程
-
利用 ICC 中 CCD(Concurrent Clock Data)实现高性能模块的设计实现
-
基于 ARM 四核 CPU 数字后端 Hierarchical Flow 实现教程
-
时钟树结构分析
-
低功耗设计实现
-
定期将项目中碰到的问题以案例的形式做技术分享
-
基于 90nm 项目案例实现教程(ICC 和 Innovus 配套教程)
-
数字 IC 行业百科全书
吾爱 IC 社区知识星球星主为公众号” 吾爱 IC 社区” 号主,从事数字 ic 后端设计实现工作近八年,拥有55nm,40nm,28nm,22nm,14nm等先进工艺节点成功流片经验,成功tapeout 过三十多颗芯片。
这里是一个数字 IC 设计实现高度垂直细分领域的知识社群,是数字 IC 设计实现领域中最大,最高端的知识交流和分享的社区,这里聚集了无数数字 ic 前端设计,后端实现,模拟 layout 工程师们。
在这里大家可以多建立连接,多交流,多拓展人脉圈,甚至可以组织线下活动。在这里你可以就数字 ic 后端设计实现领域的相关问题进行提问,也可以就职业发展规划问题进行咨询,也可以把困扰你的问题拿出来一起讨论交流。对于提问的问题尽量做到有问必答,如遇到不懂的,也会通过查阅资料或者请教专家来解答问题。在这里鼓励大家积极发表主题,提问,从而促进整个知识社群的良性循环。每个月小编会针对活跃用户进行打赏。
最重要的是在这里,能够借助这个知识社群,短期内实现年薪百万的梦想!不管你信不信,反正已经进来的朋友肯定是相信的!相遇是一种缘分,相识更是一种难能可贵的情分!如若有缘你我一定会相遇相识!知识星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有 881 星球成员,感谢这881童鞋的支持!欢迎各位渴望进步,期望高薪的铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。
欢迎关注 “吾爱 IC 社区”
微信号:ic-backend2018