MODELSIM 仿真verilog代码时出现的一个小问题,提示:Error:'clk' already declared in this scope (test_tb).

错误陈述:在用modelsim做仿真时,遇见一个错误,提示的是Error: F:/study/test/test/test_tb.v(10): 'clk' already declared in this scope (test_tb).

很简单的一个testbench源码如下:

`timescale 1ns/1ps
module test_tb ();
test test_init
(
.clk (clk),
.a   (a)
);
reg     clk;
initial clk = 1'b0;
always #100 clk = ~clk;

endmodule

出现这个错误的原因:test模块例化出现在了reg     clk;之前,也就是例化模块里面的变量要先申明,将clk的申明写到test例化之前错误就解决了。

虽然是个小问题,也希望对遇到这个问题的朋友们有所帮助!

  • 8
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值